锁相环学习电路,有教程

锁相环学习电路,有教程

对新手非常友好,一看就懂

[1],输出频率800MHz或者1GHz,

采用Ring_VCO的结构

[2],输入参考频率20MHz

[3],分频器是40-50分频

4,电荷泵电流20uA

5,工艺是smic 55nm

每个模块都有单独的testbench

可送一些仿真,设计参考资料

还有matlab,verilog-a建模推导。

cadence官方手把手教你仿真文档

锁相环的理论推导,相位噪声

呈现最完整的project,大量高清仿真截图和电路截图,非常适合新手学习做报告。

前仿真,无版图,

YID:75269725965240772模拟芯片设计新手营